首页    定制 IC/模拟/ RF 设计    版图验证    Physical Verification System
PhysicalVerificationSystem

Physical Verification System

For fast in-design and full-chip signoff

主要好处:

  • 经过生产验证的数千种流片
  • 强大而直观的交互式调试解决方案可减少调试时间
  • 与Virtuoso环境的内存内集成减少了全芯片验证迭代并提高了生产率
 

 
韵律®物理验证系统(PVS)是首要的签收解决方案,使在设计和后端物理验证,验证约束,和可靠性检查。该系统集成了工业标准的Cadence的Virtuoso ®定制/模拟,Cadence的Innovus ™数字化设计,和混合信号流动。这为您提供了与所有Cadence工具集成的端到端设计和签收物理验证解决方案。
 
使用PVS,您可以放心地完成高级节点设计签收检查(DRC和LVS)。代工厂提供PVS规则平台,PVS提供有效,全面的调试工具,以减少调试时间并提高生产率。该解决方案支持先进的工艺节点技术(例如双图案,三图案,四图案,3D-IC,FinFET规则,高级器件提取等),并将物理验证技术扩展到设计可靠性检查和约束验证中。PVS还提供了分布式处理功能,无需专用硬件即可大大提高吞吐量。
 

Cadence Physical Verification System (PVS)
 

DRC Runtime in seconds with Virtuoso PVS
 
 

产品中心

PRODUCT